ביאָס סעטטינגס אין בילדער

Pin
Send
Share
Send

העלא. דער אַרטיקל איז וועגן די BIOS סעטאַפּ פּראָגראַם, וואָס אַלאַוז דער באַניצער צו טוישן יקערדיק סיסטעם סעטטינגס. סעטטינגס זענען סטאָרד אין אַ ניט-וואַלאַטאַל קמאָס זיקאָרן און זענען געראטעוועט ווען דער קאָמפּיוטער איז סוויטשט.

עס איז רעקאַמענדיד נישט צו טוישן די סעטטינגס אויב איר זענט נישט גאַנץ זיכער וואָס דעם אָדער יענער פּאַראַמעטער מיטל.

אינהאַלט

  • לאָגין צו די סעטטינגס פּראָגראַם
    • קאָנטראָל שליסלען
  • רעפערענסע אינפֿאָרמאַציע
    • הויפּט מעניו
    • סעטטינגס בלאַט / סעטטינגס בלעטער
  • הויפּט מעניו (ניצן בייאָוס E2 ווי אַ ביישפּיל)
  • נאָרמאַל קמאָס פֿעיִקייטן
  • אַוואַנסירטע בייאָוס פֿעיִקייטן
  • ינטעגראַטעד פּעריפעראַלס
  • מאַכט פאַרוואַלטונג סעטאַפּ
  • פּנפּ / פּסי קאַנפיגיעריישאַנז (פּנפּ / פּסי סעטאַפּ)
  • פּיסי געזונט סטאַטוס
  • אָפטקייַט / ​​וואָולטידזש קאָנטראָל
  • שפּיץ פּערפאָרמאַנסע
  • לאָודינג דורכפאַל-זיכער דיפאָלץ
  • באַשטעטיק סופּערווייזער / באַניצער שפּריכוואָרט
  • היט & עקסיט סעטאַפּ
  • אַרויסגאַנג אָן שפּאָרן

לאָגין צו די סעטטינגס פּראָגראַם

צו אַרייַן די BIOS סעטאַפּ פּראָגראַם, קער אויף די קאָמפּיוטער און גלייך דריקן דעם שליסל. צו טוישן נאָך BIOS סעטטינגס, דריקן די "Ctrl + F1" קאָמבינאַציע אין די BIOS מעניו. א מעניו פון אַוואַנסירטע BIOS סעטטינגס אָפּענס.

קאָנטראָל שליסלען

<?> גיין צו פרייַערדיק מעניו נומער
<?> גיין צו ווייַטער נומער
<?> גיין צו לינקס
<?> גיין צו רעכט
סעלעקט נומער
אַרויסגאַנג פֿאַר די הויפּט מעניו אָן שפּאָרן ענדערונגען צו קמאָס. פֿאַר סעטטינגס בלאַט און סעטטינגס בלאַט - נאָענט די קראַנט בלאַט און צוריקקומען צו די הויפּט מעניו

פאַרגרעסערן די נומעריקאַל ווערט פון די באַשטעטיקן אָדער סעלעקטירן אן אנדער ווערט פון דער רשימה
פאַרמינערן די נומעריקאַל ווערט פון די באַשטעטיקן אָדער סעלעקטירן אן אנדער ווערט פון דער רשימה
שנעל רעפערענץ (בלויז פֿאַר סעטטינגס בלעטער און סעטטינגס בלאַט)
טאָאָלטיפּ פֿאַר כיילייטיד נומער
ניט געוויינט
ניט געוויינט
ומקערן פרייַערדיק סעטטינגס פֿון קמאָס (בלויז קיצער בלאַט פֿאַר סעטטינגס)
באַשטעטיק סאַפע בייאָוס דיפאָלץ
באַשטעטיק אָפּטימיזעד בייאָוס סעטטינגס צו פעליקייַט
ק-בליץ פונקציע
סיסטעם אינפֿאָרמאַציע
  היט אַלע ענדערונגען צו קמאָס (בלויז פֿאַר די הויפּט מעניו)

רעפערענסע אינפֿאָרמאַציע

הויפּט מעניו

א באשרייבונג פון די אויסגעקליבן באַשטעטיקן איז געוויזן אין די דנאָ פון דעם עקראַן.

סעטטינגס בלאַט / סעטטינגס בלעטער

ווען איר דריקן די F1 שליסל, אַ פֿענצטער איז געוויזן מיט אַ שנעל שפּיץ וועגן די מעגלעך סעטטינגס און די אַסיינמאַנט פון די קאָראַספּאַנדינג שליסלען. צו פאַרמאַכן דעם פֿענצטער, גיט.

הויפּט מעניו (ניצן בייאָוס E2 ווי אַ ביישפּיל)

ווען איר אַרייַן די BIOS סעטאַפּ מעניו (Award BIOS CMOS Setup Utility), די הויפּט מעניו אָפּענס (Fig. 1), אין וואָס איר קענען קלייַבן אַכט פון די סעטטינגס בלעטער און צוויי אָפּציעס פֿאַר די אַרויסגאַנג פון די מעניו. ניצן די פייַל שליסלען צו אויסקלייַבן דעם נומער. צו אַרייַן די סובמעניו, דריקן.

Fig. 1: הויפּט מעניו

אויב איר קען נישט געפֿינען די געבעטן באַשטעטיקן, דריקן "Ctrl + F1" און זוכן עס אין די אַוואַנסירטע BIOS סעטטינגס מעניו.

נאָרמאַל קמאָס פֿעיִקייטן

דער בלאַט כּולל אַלע די נאָרמאַל BIOS סעטטינגס.

אַוואַנסירטע בייאָוס פֿעיִקייטן

דער בלאַט כּולל אַוואַנסירטע אַוואַרד BIOS סעטטינגס.

ינטעגראַטעד פּעריפעראַלס

דער בלאַט קאַנפיגיערז אַלע די געבויט-אין פּעריפעראַלס.

מאַכט פאַרוואַלטונג סעטאַפּ

אויף דעם בלאַט, איר קענען קאַנפיגיער ענערגיע שפּאָרן מאָדעס.

פּנפּ / פּסי קאַנפיגיעריישאַנז (קאַנפיגיערינג פּנפּ און פּסי רעסאָורסעס)

דער בלאַט קאַנפיגיערז רעסורסן פֿאַר דעוויסעס

פּסי און פּנפּ יסאַ פּיסי געזונט סטאַטוס

דער בלאַט דיספּלייז די געמאסטן וואַלועס פון טעמפּעראַטור, וואָולטידזש און פאָכער גיכקייַט.

אָפטקייַט / ​​וואָולטידזש קאָנטראָל

אויף דעם בלאַט, איר קענען טוישן די אָפטקייַט פון די זייגער און די אָפטקייַט פון די פּראַסעסער פון די פּראַסעסער.

שפּיץ פּערפאָרמאַנסע

באַשטעטיק "טאָר פּערפאָרמאַנסע" צו מאַקסימום פאָרשטעלונג צו "ענאַבלעד".

לאָודינג דורכפאַל-זיכער דיפאָלץ

זיכער פעליקייַט סעטטינגס גאַראַנטירן סיסטעם געזונט.

מאַסע אָפּטימיזעד דיפאָלץ

אָפּטימיזעד פעליקייַט סעטטינגס שטימען צו אָפּטימאַל סיסטעם פאָרשטעלונג.

באַשטעטיק שפּריכוואָרט פּאַראָל

אויף דעם בלאַט איר קענען שטעלן, טוישן אָדער אַראָפּנעמען די פּאַראָל. דעם אָפּציע אַלאַוז איר צו באַגרענעצן די צוטריט צו די סעטטינגס פון די סיסטעם און די בייאָוס אָדער בלויז צו די סעטטינגס פון די BIOS.

באַשטעטיק באַניצער פּאַראָל

אויף דעם בלאַט איר קענען שטעלן, טוישן אָדער אַראָפּנעמען אַ פּאַראָל אַז אַלאַוז איר צו באַגרענעצן די אַקסעס צו די סיסטעם.

היט & עקסיט סעטאַפּ

היט סעטטינגס צו קמאָס און אַרויסגאַנג דעם פּראָגראַם.

אַרויסגאַנג אָן שפּאָרן

באָטל מאַכן אַלע ענדערונגען און אַרויסגאַנג די סעטאַפּ פּראָגראַם.

נאָרמאַל קמאָס פֿעיִקייטן

פיגורע 2: סטאַנדאַרד בייאָוס סעטטינגס

דאַטע

טאָג פֿאָרמאַט: ,,,.

טאָג פון דער וואָך - דער טאָג פון דער וואָך איז באשלאסן דורך די בייאָוס דורך די אריין דאַטע; עס קען נישט זיין טשיינדזשד גלייַך.

חודש איז דער נאָמען פון דעם חודש, פון יאנואר-דעצעמבער.

נומער - טאָג פון די חודש, פֿון 1-31 (אָדער די מאַקסימום נומער פון טעג אין אַ חודש).

יאָר - יאָר, פון 1999 צו 2098.

צייט

צייט פֿאָרמאַט:. די צייט איז אריין אין אַ 24-שעה פֿאָרמאַט, למשל, 1 שעה פון די טאָג איז רעקאָרדעד ווי 13:00:00.

IDE ערשטיק האר, שקלאַף / IDE צווייטיק האר, שקלאַף (IDE Disk Drives)

אין דעם אָפּטיילונג, די פּאַראַמעטערס פון די דיסק דרייווז זענען אינסטאַלירן אין די קאָמפּיוטער (פֿון C צו F). עס זענען צוויי אָפּציעס פֿאַר באַשטעטיקן פּאַראַמעטערס: אויטאָמאַטיש און מאַניואַלי. ווען די פאָר פּאַראַמעטערס מאַניואַלי באַשטימען, דער באַניצער באַשטעטיקט די פּאַראַמעטערס, און אין אָטאַמאַטיק מאָדע, די פּאַראַמעטערס זענען באשלאסן דורך די סיסטעם. געדענקען אַז די אינפֿאָרמאַציע איר אַרייַן מוזן גלייַכן די טיפּ פון פאָר איר האָט.

אויב איר צושטעלן פאַלש אינפֿאָרמאַציע, די פאָר וועט נישט פונקציאָנירן נאָרמאַלי. אויב איר סעלעקטירן דעם User Tour (User Defined) אָפּציע, איר דאַרפֿן צו פּלאָמבירן די אונטן פּוינץ. אַרייַן דאַטן מיט די קלאַוויאַטור און דריקן. די נייטיק אינפֿאָרמאַציע זאָל זיין קאַנטיינד אין די דאַקיומענטיישאַן פֿאַר די שווער פאָר אָדער קאָמפּיוטער.

CYLS - נומער פון סילינדערס

העאַדס - נומער פון קעפ

PRECOMP - פאַר - פאַרגיטיקונג פֿאַר רעקאָרדינג

LANDZONE - העאַד פּאַרקינג שטח

סעקטאָרס - נומער פון סעקטאָרס

אויב איינער פון די שווער דרייווז איז נישט אינסטאַלירן, סעלעקטירן קיינער און דריקן.

פאָר A / Drive B (Floppy Drives)

אין דעם אָפּטיילונג, די טייפּס פון פלאַפּי דרייווז A און B זענען אינסטאַלירן אויף די קאָמפּיוטער. -

גאָרניט - פלאָפּפּי דרייוו ניט אינסטאַלירן
360 ק, 5.25 ין נאָרמאַל 5.25-אינטש 360 ק פּיסי טיפּ פלאַפּי דרייוו
1.2 ם, 5,25 ין 1.2 מעגאבייטן הויך-דענסיטי אַט-טיפּ פלאָפּפּי דרייוו ביי 1.2 מעגאבייטן
(3.5-אינטש פאָר אויב שטיצן פֿאַר מאָדע 3 איז ענייבאַלד).
720 ק, 3.5 אין. 3.5-אינטש טאָפּל-סיידאַד פאָר קאַפּאַציטעט 720 קב

1.44 ם, 3.5 ין. 3.5-אינטש טאָפּל-סיידאַד פאָר קאַפּאַציטעט פון 1.44 מעגאבייטן

2,88 ם, 3,5 ין 3.5-אינטש טאָפּל-סיידאַד פאָר קאַפּאַציטעט פון 2.88 מעגאבייטן.

פלאַפּפּי 3 מאָדע סופּפּאָרט (פֿאַר יאַפּאַן שטח)

דיסאַבלעד נאָרמאַל פלאַפּי פאָר. (פעליקייַט באַשטעטיקן)
פאָר אַ פלאָפּפּי פאָר א שטיצט מאָדע 3.
דרייוו ב פלאַפּי פאָר דרייווז מאָדע 3.
ביידע פלאָפּפּי דרייווז א און ב שטיצן מאָדע 3.

האַלטן אויף (אַבאָרט אראפקאפיע)

דער באַשטעטיקן דיטערמאַנז ווען ערראָרס זענען דיטעקטאַד אַז די סיסטעם וועט האַלטן לאָודינג.

קיין ערראָרס סיסטעם שטיוול וועט פאָרזעצן טראָץ קיין ערראָרס. טעות אַרטיקלען זענען געוויזן.
כל אראפקאפיע ערראָרס וועט זיין אַבאָרטאַד אויב די בייאָוס דיטעקץ קיין טעות.
אַלע, אָבער קלאַוויאַטור דאַונלאָוד וועט זיין אַבאָרטאַד אין פאַל פון קיין טעות, אַחוץ פֿאַר קלאַוויאַטור דורכפאַל. (פעליקייַט באַשטעטיקן)
Ail, but Diskette די אראפקאפיע וועט זיין אַבאָרטאַד אין פאַל פון קיין טעות, אַחוץ פֿאַר אַ פלאַפּי פאָר דורכפאַל.
אַלע, אָבער דיסק / דורכפֿאַל אראפקאפיע וועט זיין אַבאָרטאַד אין פאַל פון קיין טעות, אַחוץ פֿאַר קלאַוויאַטור אָדער דיסק דורכפאַל.

זכּרון

דער אַרטיקל דיספּלייז די זכּרון סיזעס וואָס זענען באשלאסן דורך די בייאָוס בעשאַס די סיסטעם זיך-פּרובירן. איר קענען נישט טוישן די וואַלועס מאַניואַלי.
באַזע זכּרון
בעשאַס אָטאַמאַטיק זיך-טעסטינג, די בייאָוס דיטערמאַנז די סומע פון ​​באַזע (אָדער רעגולער) זכּרון אינסטאַלירן אין די סיסטעם.
אויב 512 קביטעס פון זיקאָרן זענען אינסטאַלירן אויף די סיסטעם ברעט, 512 ק איז געוויזן, אויב 640 קביטעס אָדער מער איז אינסטאַלירן אויף די סיסטעם ברעט, אַ ווערט פון 640 ק.
עקסטענדעד זכּרון
מיט אָטאַמאַטיק זיך-טעסטינג, די בייאָוס דיטערמאַנז די גרייס פון דעם עקסטענדעד זכּרון אינסטאַלירן אין די סיסטעם. עקסטענדעד זכּרון איז באַראַן מיט ווענדט העכער 1 מעגאבייטן אין די אַדרעס סיסטעם פון די הויפט פּראַסעסער.

אַוואַנסירטע בייאָוס פֿעיִקייטן

פיגורע 3: אַוואַנסירטע BIOS סעטטינגס

ערשטער / צווייטע / דריט שטיוול מיטל
(ערשטער / רגע / דריט שטיוול מיטל)
פלאָפּפּי פלאָפּפּי שטיוול.
LS120 שטיוול פון די LS120 פאָר.
HDD-0-3 שטיוול פֿון דער שווער דיסק פֿון 0 צו 3.
SCSI שטיוול פֿון אַ SCSI מיטל.
CDROM אראפקאפיע פֿון CDROM.
ZIP אראפקאפיע פֿון אַ ZIP פאָר.
וסב-פד שטיוול פון אַ וסב פלאַפּי פאָר.
וסב-פאַרשלעסלען אראפקאפיע פון ​​אַ זיפ מיטל מיט אַ וסב צובינד.
USB-CDROM שטיוול פֿון אַ USB CD-ROM.
וסב-הדד שטיוול פון אַ וסב שווער פאָר.
לאַן דאַונלאָוד דורך לאַן.
דיסאַבלעד אראפקאפיע איז פאַרקריפּלט.

 

Boot Up Floppy זוכן (באַשטימען די טיפּ פון פלאַפּי פאָר ביי שטיוול)

בעשאַס אַ סיסטעם זעלבסט-פּרובירן, די בייאָוס באַשליסן צי די פלאַפּי פאָר איז 40-שפּור אָדער 80-שפּור. די דרייווינג פון 360 קב איז 40 שפּור און די דרייווז פון 720 קב, 1.2 מעגאבייטן און 1.44 מעגאבייטן 80 שפּור.

די ענאַבלעד בייאָוס דיטערמאַנז צי די פאָר איז 40 אָדער 80 שפּור. געדענקען אַז די בייאָוס קען נישט ויסטיילן צווישן דרייווז פון 720 קב, 1.2 מעגאבייטן און 1.44 מעגאבייטן, ווייַל זיי אַלע 80-שפּור.

דיסאַבלעד בייאָוס וועט נישט דעטעקט פאָר טיפּ. ווען ינסטאָלינג אַ 360 קב פאָר איז קיין אָנזאָג געוויזן. (פעליקייַט באַשטעטיקן)

שפּריכוואָרט טשעק

סיסטעם אויב איר טאָן ניט אַרייַן די ריכטיק שפּריכוואָרט ווען די סיסטעם איז פּראַמפּטיד, דער קאָמפּיוטער וועט נישט שטיוול און אַקסעס צו די סעטטינגס בלעטער וועט זיין פארמאכט.
סעטאַפּ אויב איר טאָן ניט אַרייַן די ריכטיק שפּריכוואָרט ווען די סיסטעם איז פּראַמפּטיד, דער קאָמפּיוטער וועט שטיוול, אָבער דער סעטטינגס בלעטער וועט זיין פארמאכט. (פעליקייַט באַשטעטיקן)

קפּו היפּער-טרעדינג

דיסאַבלע היפּער טרעדינג פאָדעם איז פאַרקריפּלט.
ענייבאַלד כייפּער טרעדינג מאָדע איז ענייבאַלד. ביטע טאָן אַז די פונקציע איז ימפּלאַמענאַד בלויז אויב די אָפּערייטינג סיסטעם שטיצט אַ מולטיפּראָסעססאָר קאַנפיגיעריישאַן. (פעליקייַט באַשטעטיקן)

DRAM Data Integrity Mode

דער אָפּציע אַלאַוז איר צו שטעלן די טעות קאָנטראָל מאָדע אין באַראַן, אויב איר נוצן ECC זכּרון.

ECC ECC מאָדע איז אויף.
ניט-עקק עקק מאָדע איז נישט געוויינט. (פעליקייַט באַשטעטיקן)

ערשט ווייַז
AGP אַקטאַווייט דער ערשטער AGP ווידעא אַדאַפּטער. (פעליקייַט באַשטעטיקן)
פּסי אַקטיוואַטע דער ערשטער פּסי ווידעא אַדאַפּטער.

ינטעגראַטעד פּעריפעראַלס

פיג. 4: ינאַגרייטיד פּעריפעראַלס

ערשטיק פּסי IDE (ינטעגראַטעד טשאַננעל 1 ייד קאָנטראָללער אויף-טשיפּ)

ענייבאַלד ינטעגראַטעד IDE טשאַננעל 1 קאָנטראָללער איז ענייבאַלד. (פעליקייַט באַשטעטיקן)

דיסאַבלעד עמבעדדעד IDE טשאַננעל 1 קאָנטראָללער איז פאַרקריפּלט.
אויף-טשיפּ צווייטיק פּסי ייד (ינטעגראַטעד 2 טשאַננעל ייד קאָנטראָללער)

ענייבאַלד בילט-אין 2 קאַנאַל IDE קאַנטראָולער ענייבאַלד. (פעליקייַט באַשטעטיקן)

דיסאַבלעד עמבעדדעד 2 קאַנאַל IDE קאַנטראָולער פאַרקריפּלט.

IDE1 קאָנדוקטאָר קאַבלע (טיפּ שלייף פארבונדן צו IDE1)

Auto אָטאַמאַטיק דיטעקץ בייאָוס. (פעליקייַט באַשטעטיקן)
ATA66 / 100 א קאַבלע טיפּ ATA66 / 100 איז פארבונדן צו IDE1. (מאַכט זיכער אַז דיין IDE מיטל און קאַבלע שטיצן ATA66 / 100 מאָדע.)
ATAZZ אַ IDE1 קאַבלע איז פארבונדן צו די IDE1. (מאַכט זיכער אַז דיין IDE מיטל און לופּבאַקק שטיצן די אַפּאַס מאָדע.)

IDE2 אָנפירער קאַבלע (טיפּ שלייף פארבונדן צו ШЕ2)
Auto אָטאַמאַטיק דיטעקץ בייאָוס. (פעליקייַט באַשטעטיקן)
ATA66 / 100/133 א קאַבלע טיפּ ATA66 / 100 איז פארבונדן צו IDE2. (מאַכט זיכער אַז דיין IDE מיטל און קאַבלע שטיצן ATA66 / 100 מאָדע.)
ATAZZ אַן IDE2 קאַבלע איז פארבונדן צו IDE2. (מאַכט זיכער אַז דיין IDE מיטל און לופּבאַקק שטיצן די אַפּאַס מאָדע.)

וסב קאָנטראָללער

אויב איר טאָן ניט נוצן דעם געבויט-אין וסב קאָנטראָללער, דיסייבאַל די אָפּציע דאָ.

ענייבאַלד וסב קאַנטראָולער. (פעליקייַט באַשטעטיקן)
דיסאַבלע וסב קאַנטראָולער איז פאַרקריפּלט.

וסב קיבאָרד שטיצן

ווען קאַנעקטינג אַ וסב קלאַוויאַטור, שטעלן "ענאַבלעד" אין דעם נומער.

ענאַבלע וסב קלאַוויאַטור שטיצן איז אַרייַנגערעכנט.
שטיצן פֿאַר וסב קלאַוויאַטור איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)

וסב מאַוס סופּפּאָרט

ווען קאַנעקטינג אַ וסב מויז, שטעלן "ענאַבלעד" אין דעם נומער.

ענייבאַלד וסב מויז שטיצן איז אַרייַנגערעכנט.
דיסאַבלע וסב מויז שטיצן איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)

AC97 Audio (AC'97 Audio Controller)

אַוטאָ די געבויט-אין AC'97 אַודיאָ קאָנטראָללער איז אַרייַנגערעכנט. (פעליקייַט באַשטעטיקן)
דיסאַבלעד די געבויט-אין AC'97 אַודיאָ קאָנטראָללער איז פאַרקריפּלט.

אַנבאָרד ה / וו לאַן (ינטעגראַטעד נעטוואָרק קאָנטראָללער)

Enable די ינאַגרייטיד נעץ קאָנטראָללער איז ענייבאַלד. (פעליקייַט באַשטעטיקן)
דיסאַבלע די עמבעדיד נעץ קאָנטראָללער איז פאַרקריפּלט.
אַנבאָרד לאַן שטיוול ראַם

ניצן די ראַם פון די ינאַגרייטיד נעץ קאָנטראָללער צו שטיוול די סיסטעם.

ענאַבלע די פונקציע איז ענייבאַלד.
דיסאַבלע פונקטיאָן איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)

אַנבאָרד סיריאַל פּאָרט 1

אַוטאָ בייאָוס שטעלט פּאָרט 1 אַדרעס אויטאָמאַטיש.
3F8 / IRQ4 געבן די ינאַגרייטיד סיריאַל פּאָרט 1 דורך באַשטימען עס די אַדרעס 3F8.
2 פ 8 / ירק 3 געבן די ינאַגרייטיד סיריאַל פּאָרט 1 דורך באַשטימען עס די אַדרעס 2 פ 8.

3E8 / IRQ4 געבן די ינאַגרייטיד סיריאַל פּאָרט 1 צו באַשטימען עס אַדרעס ZE8.

2E8 / IRQ3 געבן די ינאַגרייטיד סיריאַל פּאָרט 1 דורך באַשטימען עס די אַדרעס 2E8.

דיסאַבלעד דיסאַבלע די ינאַגרייטיד סיריאַל פּאָרט 1.

אַנבאָרד סיריאַל פּאָרט 2

אַוטאָ בייאָוס שטעלט פּאָרט 2 אַדרעס אויטאָמאַטיש.
3 פ 8 / ירק 4 געבן עמבעדיד סיריאַל פּאָרט 2 דורך באַשטימען עס די אַדרעס 3 פ 8.

2 פ 8 / ירק 3 געבן עמבעדיד סיריאַל פּאָרט 2 דורך באַשטימען עס די אַדרעס 2 פ 8. (פעליקייַט באַשטעטיקן)
3E8 / IRQ4 געבן עמבעדיד סיריאַל פּאָרט 2 דורך באַשטימען עס אַ אַדרעס פון ZE8.

2E8 / IRQ3 געבן די ינאַגרייטיד סיריאַל פּאָרט 2 דורך באַשטימען עס די אַדרעס 2E8.

דיסאַבלעד דיסאַבלע אַנבאָרד סיריאַל פּאָרט 2.

אַנבאָרד פּאַראַלעל פּאָרט

378 / IRQ7 געבן די געבויט-אין לפּט פּאָרט דורך באַשטימען עס די אַדרעס 378 און באַשטימען אַ ירק 7 יבעררייַסן. (פעליקייַט באַשטעטיקן)
278 / IRQ5 געבן די געבויט-אין לפּט פּאָרט דורך באַשטימען עס די אַדרעס 278 און באַשטימען אַ ירק 5 ינטעראַפּץ.
דיסאַבלעד דיסאַבלע די געבויט-אין לפּט פּאָרט.

3BC / IRQ7 געבן די געבויט-אין LPT פּאָרט דורך באַשטימען עס אַן IP אַדרעס און באַשטימען אַ IRQ7 יבעררייַסן.

פּאַראַלעל פּאָרט מאָדע

ספּפּ די פּאַראַלעל פּאָרט איז ארבעטן נאָרמאַלי. (פעליקייַט באַשטעטיקן)
עפּפּ די פּאַראַלעל פּאָרט אַפּערייץ אין די Enhanced Parallel Port מאָדע.
ECP די פּאַראַלעל פּאָרט אַפּערייץ אין די פּאָרט מאָדע עקסטענדעד קאַפּאַביליטיעס.
ECP + SWU די פּאַראַלעל פּאָרט אַפּערייץ אין די ECP און SWU מאָדעס.

ECP Mode ניצן DMA (DMA קאַנאַל געניצט אין ECP מאָדע)

3 ECP מאָדע ניצט דמאַ קאַנאַל 3. (פעליקייַט באַשטעטיקן)
1 עקפּ מאָדע ניצט דמאַ קאַנאַל 1.

שפּיל פּאָרט אַדרעס

201 באַשטעטיק די שפּיל פּאָרט אַדרעס צו 201. (פעליקייַט באַשטעטיקן)
209 שטעלן די שפּיל פּאָרט אַדרעס צו 209.
דיסאַבלעד דיסאַבלע די פֿונקציע.

מידי פּאָרט אַדרעס

290 באַשטעטיק די מידי פּאָרט אַדרעס צו 290.
300 באַשטעטיק די מידי פּאָרט אַדרעס צו 300.
330 באַשטעטיק די מידי פּאָרט אַדרעס צו 330. (פעליקייַט באַשטעטיקן)
דיסאַבלעד דיסאַבלע די פֿונקציע.
מידי פּאָרט ירק (ינטעראַפּטיד פֿאַר מידי פּאָרט)

5 באַשטימען אַן ירק ינטעראַפּשאַן צו די מידי פּאָרט.
10 באַשטימען ירק 10 צו די מידי פּאָרט. (פעליקייַט באַשטעטיקן)

מאַכט פאַרוואַלטונג סעטאַפּ

פיגורע 5: סעטטינגס פֿאַר מאַכט פאַרוואַלטונג

ACPI סוספּענד רייַזע (סטאַנדביי טיפּ ACPI)

S1 (POS) שטעלן סטאַנדביי מאָדע צו S1. (פעליקייַט באַשטעטיקן)
S3 (STR) שטעלן די סטאַנדביי מאָדע אויף S3.

מאַכט געפירט אין SI שטאַט (סטאַנדבי מאַכט גראדן ס 1)

בלינקינג אין די סטאַנדביי מאָדע (ס 1), די מאַכט גראדן בלינקט. (פעליקייַט באַשטעטיקן)

צווייענדיק / אַוועק סטאַנדביי (S1):
a. אויב אַ איין-קאָליר גראדן איז געוויינט, עס גייט אַוועק אין S1 מאָדע.
b. אויב אַ צוויי-קאָליר גראדן איז גענוצט, אין S1 מאָדע עס ענדערונגען קאָליר.
PWR BTTN ווייך אַוועק (ווייכווארג שאַטדאַון)

רעגע-אַוועק ווען איר דריקן אויף די מאַכט קנעפּל, דער קאָמפּיוטער איז קערעקטאַד אַוועק מיד. (פעליקייַט באַשטעטיקן)
פאַרהאַלטן 4 סעק. צו קער אַוועק די קאָמפּיוטער, דריקן און האַלטן די מאַכט קנעפּל פֿאַר 4 סעקונדעס. ווען די בעקיצער איז דריקט אויף די קנעפּל, די סיסטעם גייט אריין אין סטאַנדביי מאָדע.
PME עווענט וועקן זיך

דיסאַבלעד די PME געשעעניש ווייקאַפּ שטריך איז פאַרקריפּלט.
ענאַבלעד פונקטיאָן איז ענייבאַלד. (פעליקייַט באַשטעטיקן)

מאָדעמרינגאָן (וועקן זיך אויף מאָדעם סיגנאַל)

דיסאַבלעד מאָדעם / לאַן וועקן-אַרויף שטריך איז פאַרקריפּלט.
ענאַבלעד פונקטיאָן איז ענייבאַלד. (פעליקייַט באַשטעטיקן)

נעמענ זיכ ווידער דורך שרעק

אין די פּאָזיציע "נעמענ זיכ ווידער דורך שרעק", איר קענען שטעלן די דאַטע און צייט וואָס די קאָמפּיוטער איז געווען אויסגעדרייט אויף.

דיסאַבלע פונקציע איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)
ענאַבלעד די פונקציע צו קער אויף די קאָמפּיוטער אין אַ געוויסע צייט איז ענייבאַלד.

אויב ענייבאַלד, שטעלן די פאלגענדע וואַלועס:

טאָג (פון חודש) שרעק: טאָג פון די חודש, 1-31
צייט (hh: mm: ss) שרעק: צייט (hh: mm: cc): (0-23): (0-59): (0-59)

מאַכט אויף מאַוס

דיסאַבלע פונקציע איז פאַרקריפּלט.(פעליקייַט באַשטעטיקן)
טאָפּל גיט ווייקס די קאָמפּיוטער מיט אַ טאָפּל גיט.

מאַכט אויף דורך קיבאָרד

שפּריכוואָרט צו באַשליסן די קאָמפּיוטער, איר מוזן אַרייַן אַ שפּריכוואָרט צווישן 1 און 5 אותיות.
דיסאַבלע פונקציע איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)
קלאַוויאַטור 98 אויב די קלאַוויאַטור האט אַ מאַכט קנעפּל, ווען איר דריקט אויף עס, די קאָמפּיוטער טורנס אויף.

קוו פּאָווער אויף פּאַראָל (באַשטעטיקן די שפּריכוואָרט צו ווענדן די קאָמפּיוטער פון די קלאַוויאַטור)

אַרייַן אַרייַן אַרייַן אַ פּאַראָל (1 צו 5 אַלפאַנומעריק אותיות) און דריקן אַרייַן.

AC צוריק פונקציע (די נאַטור פון אַ קאָמפּיוטער נאָך אַ צייַטווייַליק מאַכט דורכפאַל)

זכּרון נאָך די מאַכט איז ריסטאָרד, דער קאָמפּיוטער קערט צו די שטאַט עס איז געווען אין איידער די מאַכט איז געווען פארקערט אַוועק.
ווייך אַוועק ווען דער מאַכט איז געווענדט, דער קאָמפּיוטער בלייבט אַוועק. (פעליקייַט באַשטעטיקן)
פול-אויף נאָך די מאַכט איז ריסטאָרד, די קאָמפּיוטער טורנס אויף.

פּנפּ / פּסי קאַנפיגיעריישאַנז (פּנפּ / פּסי סעטאַפּ)

פיגורע 6: קאַנפיגיערינג פּנפּ / פּסי דעוויסעס

פּסי י / פּסי 5 ירק אַסיינמאַנט

אַוטאָ אויטאָמאַטיש באַשטימען ינטעראַפּץ פֿאַר פּסי 1/5 דעוויסעס. (פעליקייַט באַשטעטיקן)
3, 4, 5, 7, 9, 10, 11, 12, 15 ציל פֿאַר פּסי דעוויסעס 1/5 ירק יבעררייַסן 3, 4, 5, 7, 9, 10, 11, 12, 15.

פּסי 2 ירק אַסיינמאַנט (פּסי 2 ינטעררופּ אַסיינמאַנט)

אַוטאָ אויטאָמאַטיש באַשטימען אַ יבעררייַסן צו אַ פּסי 2 מיטל.
3, 4, 5, 7, 9, 10, 11, 12, 15 אַסיינמאַנט פון די ירק יבעררייַסן 3, 4, 5, 7, 9, 10, 11, 12, 15 פֿאַר די פּסי 2 מיטל.

ROSE IRQ אַסיינמאַנט (ינטעררופּ אַסיינמאַנט פֿאַר פּסי 3)

אַוטאָ אויטאָמאַטיש באַשטימען אַ יבעררייַסן צו אַ פּסי 3 מיטל.

3, 4, 5, 7, 9, 10, 11, 12, 15 אַסיינמאַנט פון די ירק 3, 4, 5, 7, 9, 10, 11, 12, 15 צו די PCI 3 מיטל.
פּסי 4 ירק אַסיינמאַנט

אַוטאָ אויטאָמאַטיש באַשטימען אַ יבעררייַסן צו אַ פּסי 4 מיטל.

3, 4, 5, 7, 9, 10, 11, 12, 15 אַסיינמאַנט פֿאַר ירק מיטל פּסי 4 ינטעראַפּץ 3, 4, 5, 7, 9, 10, 11, 12, 15.

פּיסי געזונט סטאַטוס

Fig. 7: מאָניטאָרינג קאָמפּיוטער סטאַטוס

באַשטעטיק עפן סטאַטוס (באַשטעטיק טאַמפּער סענסאָר)

קאַסע אָפּענעד

אויב דער קאמפיוטער קאַסע איז נישט געעפנט געוואָרן, ווערט "ניין" געוויזן אונטער "קעיס געעפנט". אויב דער קעיס איז געעפנט געווארן, “יאָ” איז געוויזן אונטער “קאַסע אָפּענעד”.

צו באַשטעטיק די סענסער, שטעלן די "באַשטעטיק קאַסע עפֿן סטאַטוס" צו "ענאַבלעד" און אַרויסגאַנג די בייאָוס מיט שפּאָרן די סעטטינגס. דער קאָמפּיוטער וועט ריסטאַרט.
קראַנט וואָולטידזש (V) Vcore / VCC18 / +3.3 V / + 5V / + 12V (קראַנט סיסטעם וואָולטידזש וואַלועס)

- דער אַרטיקל דיספּלייז די אָטאַמאַטיק געמאסטן הויפּט וואָולטידזש אין די סיסטעם.

קראַנט קפּו טעמפּעראַטור

- דעם נומער דיספּלייז די געמאסטן פּראַסעסער טעמפּעראַטור.

קראַנט CPU / SYSTEM FAN Speed ​​(RPM)

- דעם פּונקט דיספּלייז די געמאסטן פאָכער גיכקייַט פון די פּראַסעסער און די שאַסי.

קפּו ווארענונג טעמפּעראַטור

די דיסאַבלעד קפּו טעמפּעראַטור איז נישט קאַנטראָולד. (פעליקייַט באַשטעטיקן)
60 ° C ווען אַ טעמפּעראַטור יקסידז 60 ° סי.
70 ° C אַ ווארענונג איז ארויס ווען די טעמפּעראַטור יקסידז 70 ° סי.

80 ° C ווען אַ טעמפּעראַטור יקסידז 80 ° סי.

90 ° C ווען אַ טעמפּעראַטור יקסידז 90 ° סי.

CPU FAN דורכפאַל ווארענונג

דיסאַבלע פונקציע איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)
ענייבאַלד א ווארענונג איז ארויס ווען די פאָכער סטאַפּס.

FAN ווארענונג פון די סיסטעם FAN

דיסאַבלע פונקציע איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)
ענייבאַלד א ווארענונג איז ארויס ווען די פאָכער סטאַפּס.

אָפטקייַט / ​​וואָולטידזש קאָנטראָל

פיג. 8: אָפטקייַט / ​​וואָולטידזש אַדזשאַסטמאַנט

קפּו זייגער פאַרהעלטעניש

אויב די מאַלטאַפּלייער פון די פּראַסעסער אָפטקייַט איז פאַרפעסטיקט, די אָפּציע איז ניטאָ אין די מעניו. - 10X-24X די ווערט איז באַשטימט דיפּענדינג אויף די פּראַסעסער זייגער גיכקייַט.

קפּו האָסט זייגער קאָנטראָל

באַמערקונג: אויב די סיסטעם פריזיז איידער די BIOS סעטאַפּ נוצן, וואַרטן 20 סעקונדעס. נאָך דעם מאָל די סיסטעם וועט זיין רעבאָאָט. אויף רעבאָאָט, די פעליקייַט באַזע אָפטקייַט פון די פּראַסעסער איז באַשטימט.

דיסאַבלעד דיסאַבלע די פֿונקציע. (פעליקייַט באַשטעטיקן)
ענייבאַלד ענאַבלע די פּראַסעסער באַזע אָפטקייט קאָנטראָל פונקציע.

קפּו האָסט אָפטקייַט

- 100 מהז - 355 מהז באַשטעטיק די באַזע אָפטקייַט פון די פּראַסעסער פון 100 צו 355 מהז.

פּסי / אַג פאַרפעסטיקט

- צו סטרויערן די AGP / PCI זייגער פריקוואַנסיז, סעלעקטירן 33/66, 38/76, 43/86 אָדער דיסאַבלעד אין דעם נומער.
Host / DRAM Clock Ratio (די פאַרהעלטעניש פון די אָפטקייַט פון די זיקאָרן זייגער און די באַזע אָפטקייַט פון די פּראַסעסער)

אכטונג! אויב די ווערט אין דעם פּאָזיציע איז פאַלש באַשטימט, דער קאָמפּיוטער קען נישט שטיוול. אין דעם פאַל, באַשטעטיק די בייאָוס.

2.0 זכּרון פרעקווענסי = באַזע פרעקווענסי X 2.0.
2.66 זכּרון אָפטקייַט = באַזע אָפטקייַט X 2.66.
די אַוטאָ אָפטקייַט איז באַשטימט לויט די ספּד זכּרון מאָדולע. (פעליקייַט ווערט)

זכּרון פרעקווענסי (מהז) (זכּרון זייגער (מהז))

- די ווערט איז באשלאסן דורך די באַזע אָפטקייַט פון די פּראַסעסער.

PCI / AGP Frequency (מהז) (PCI / AGP (MHz))

- די פריקוואַנסיז זענען באַשטימט דיפּענדינג אויף די ווערט פון די קפּו האָסט פרעקווענסי אָדער פּסי / אַגפּ דיווידער אָפּציע.

קפּו וואָולטידזש קאָנטראָל

- די פּראַסעסער וואָולטידזש קענען ווערן פארמערט מיט אַ ווערט פון 5.0% צו 10.0%. (פעליקייַט ווערט: נאָמינאַל)

בלויז פֿאַר אַוואַנסירטע ניצערס! ימפּראַפּער ינסטאַלירונג קען פאַרשאַפן קאָמפּיוטער שעדיקן!

DIMM אָווערוואָלטאַגע קאָנטראָל

נאָרמאַל זכּרון וואָולטידזש איז נאָמינאַל. (פעליקייַט ווערט)
+ 0.1 וו זכּרון וואָולטידזש געוואקסן דורך 0.1 וו.
+ 0.2 וו זכּרון וואָולטידזש געוואקסן דורך 0.2 וו.
+ 0.3 וו זכּרון וואָולטידזש געוואקסן דורך 0.3 וו.

בלויז פֿאַר אַוואַנסירטע ניצערס! ימפּראַפּער ינסטאַלירונג קען פאַרשאַפן קאָמפּיוטער שעדיקן!

AGP אָווערוואָלטאַגע קאָנטראָל

נאָרמאַל די וואָולטידזש פון די ווידעא אַדאַפּטער איז גלייַך צו די רייטאַד וואָולטידזש. (פעליקייַט ווערט)
+ 0.1 וו די וואָולטידזש פון די ווידעא אַדאַפּטער איז געוואקסן דורך 0.1 וו.
+ 0.2 וו די וואָולטידזש פון די ווידעא אַדאַפּטער איז געוואקסן דורך 0.2 וו.
+ 0.3 וו די וואָולטידזש פון די ווידעא אַדאַפּטער איז געוואקסן דורך 0.3 וו.

בלויז פֿאַר אַוואַנסירטע ניצערס! ימפּראַפּער ינסטאַלירונג קען פאַרשאַפן קאָמפּיוטער שעדיקן!

שפּיץ פּערפאָרמאַנסע

פיג. 9: מאַקסימום פאָרשטעלונג

שפּיץ פּערפאָרמאַנסע

שטעלן טאָר פּערפאָרמאַנסע צו ענאַבלעד צו דערגרייכן מאַקסימום סיסטעם פאָרשטעלונג.

דיסאַבלע פונקציע איז פאַרקריפּלט. (פעליקייַט באַשטעטיקן)
ענייבאַלד מאַקסימום פּערפאָרמאַנסע מאָדע.

ווען איר קער אויף די מאַקסימום פאָרשטעלונג מאָדע, די גיכקייַט פון די ייַזנוואַרג קאַמפּאָונאַנץ ינקריסיז. די אָפּעראַציע פון ​​די סיסטעם אין דעם מאָדע איז ינפלואַנסט דורך ביידע ייַזנוואַרג און ווייכווארג קאַנפיגיעריישאַנז. פֿאַר בייַשפּיל, די זעלביקער ייַזנוואַרג קאַנפיגיעריישאַן קען אַרבעט געזונט אונטער Windows NT, אָבער קען נישט אַרבעטן אונטער Windows XP. דעריבער, אויב עס זענען פּראָבלעמס מיט די רילייאַבילאַטי אָדער פעסטקייַט פון די סיסטעם, מיר רעקאָמענדירן צו דיסייבאַל דעם אָפּציע.

לאָודינג דורכפאַל-זיכער דיפאָלץ

פיג. 10: באַשטעטיקן זיכער דיפאָלץ

לאָודינג דורכפאַל-זיכער דיפאָלץ

זיכער פעליקייַט סעטטינגס זענען די וואַלועס פון די סיסטעם פּאַראַמעטערס וואָס זענען די סייפאַסט פֿון די פונט פון מיינונג פון די אָפּעראַביליטי פון די סיסטעם, אָבער די מינימום גיכקייַט.

מאַסע אָפּטימיזעד דיפאָלץ

ווען דעם מעניו נומער איז אויסגעקליבן, די נאָרמאַל סעטטינגס פֿאַר בייאָוס און טשיפּסעט זענען אויטאָמאַטיש לאָודיד דורך די סיסטעם.

באַשטעטיק סופּערווייזער / באַניצער שפּריכוואָרט

פיג. 12: באַשטעטיק אַ שפּריכוואָרט

ווען איר סעלעקטירן דעם מעניו נומער אין דעם צענטער פון דעם פאַרשטעלן, אַ פּינטלעך אויס צו אַרייַן אַ פּאַראָל.

אַרייַן אַ פּאַראָל פון נישט מער ווי 8 אותיות און דריקן. די סיסטעם וועט פרעגן איר צו באַשטעטיקן דעם פּאַראָל. אַרייַן די זעלבע שפּריכוואָרט ווידער און דריקן. צו אָפּזאָגן צו אַרייַן די שפּריכוואָרט און גיין צו די הויפּט מעניו, דריקן.

צו באָטל מאַכן דעם שפּריכוואָרט, גיט פּונקט צו אַרייַן אַ נייַ פּאַראָל. אין באַשטעטיקונג אַז די פּאַראָל איז קאַנסאַלד, די אָנזאָג "PASSWORD DISABLED" וועט דערשייַנען. נאָך רימוווינג די פּאַראָל, די סיסטעם וועט רעבאָאָט און איר קענען פרילי אַרייַן די BIOS סעטטינגס מעניו.

אין די BIOS סעטטינגס מעניו איר קענען שטעלן צוויי פאַרשידענע פּאַסווערדז: אַדמיניסטראַטאָר שפּריכוואָרט (SUPERVISOR PASSWORD) און באַניצער פּאַראָל (USER PASSWORD). אויב קיין פּאַסווערדז זענען באַשטימט, קיין באַניצער קען אַקסעס די BIOS סעטטינגס. ווען איר באַשטעטיקן אַ פּאַראָל פֿאַר אַקסעס צו אַלע בייאָוס סעטטינגס, איר מוזן אַרייַן די אַדמיניסטראַטאָר שפּריכוואָרט און פֿאַר אַקסעס בלויז צו די גרונט סעטטינגס - דער באַניצער פּאַראָל.

אויב איר סעלעקטירן "סיסטעם" אין די "שפּריכוואָרט טשעק" נומער אין די BIOS אַוואַנסירטע סעטטינגס מעניו, די סיסטעם וועט פרעגן פֿאַר אַ פּאַראָל יעדער מאָל איר שטיוול די קאָמפּיוטער אָדער פּרווון צו אַרייַן די BIOS סעטטינגס מעניו.

אויב איר סעלעקטירן "סעטאַפּ" אין די "פּאַראָל טשעק" נומער אין די BIOS אַוואַנסירטע סעטטינגס מעניו, די סיסטעם וועט נאָר פרעגן פֿאַר אַ פּאַראָל ווען איר פּרוּווט צו אַרייַן די BIOS סעטטינגס מעניו.

היט & עקסיט סעטאַפּ

פיג. 13: שפּאָרן סעטטינגס און אַרויסגאַנג

צו ראַטעווען דיין ענדערונגען און אַרויסגאַנג די סעטטינגס מעניו, דריקן "Y". צו צוריקקומען צו די סעטטינגס מעניו, דריקן "N".

אַרויסגאַנג אָן שפּאָרן

פיג. 14: אַרויסגאַנג אָן שפּאָרן ענדערונגען

צו אַרויסגאַנג די BIOS סעטטינגס מעניו אָן שפּאָרן די געמאכט ענדערונגען, דריקן "Y". צו צוריקקומען צו די BIOS סעטטינגס מעניו, דריקן "N".

 

Pin
Send
Share
Send